***************************************************************************** * www.FindStat.org - The Combinatorial Statistic Finder * * * * Copyright (C) 2019 The FindStatCrew * * * * This information is distributed in the hope that it will be useful, * * but WITHOUT ANY WARRANTY; without even the implied warranty of * * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. * ***************************************************************************** ----------------------------------------------------------------------------- Statistic identifier: St001485 ----------------------------------------------------------------------------- Collection: Binary words ----------------------------------------------------------------------------- Description: The modular major index of a binary word. This is [[St000290]] modulo the length of the word. ----------------------------------------------------------------------------- References: [1] Swanson, J. P. Major Index Statistics: Cyclic Sieving, Branching Rules, and Asymptotics [[MathSciNet:3885578]] ----------------------------------------------------------------------------- Code: def statistic(w): return w.major_index() % len(w) ----------------------------------------------------------------------------- Statistic values: 0 => 0 1 => 0 00 => 0 01 => 0 10 => 1 11 => 0 000 => 0 001 => 0 010 => 2 011 => 0 100 => 1 101 => 1 110 => 2 111 => 0 0000 => 0 0001 => 0 0010 => 3 0011 => 0 0100 => 2 0101 => 2 0110 => 3 0111 => 0 1000 => 1 1001 => 1 1010 => 0 1011 => 1 1100 => 2 1101 => 2 1110 => 3 1111 => 0 00000 => 0 00001 => 0 00010 => 4 00011 => 0 00100 => 3 00101 => 3 00110 => 4 00111 => 0 01000 => 2 01001 => 2 01010 => 1 01011 => 2 01100 => 3 01101 => 3 01110 => 4 01111 => 0 10000 => 1 10001 => 1 10010 => 0 10011 => 1 10100 => 4 10101 => 4 10110 => 0 10111 => 1 11000 => 2 11001 => 2 11010 => 1 11011 => 2 11100 => 3 11101 => 3 11110 => 4 11111 => 0 000000 => 0 000001 => 0 000010 => 5 000011 => 0 000100 => 4 000101 => 4 000110 => 5 000111 => 0 001000 => 3 001001 => 3 001010 => 2 001011 => 3 001100 => 4 001101 => 4 001110 => 5 001111 => 0 010000 => 2 010001 => 2 010010 => 1 010011 => 2 010100 => 0 010101 => 0 010110 => 1 010111 => 2 011000 => 3 011001 => 3 011010 => 2 011011 => 3 011100 => 4 011101 => 4 011110 => 5 011111 => 0 100000 => 1 100001 => 1 100010 => 0 100011 => 1 100100 => 5 100101 => 5 100110 => 0 100111 => 1 101000 => 4 101001 => 4 101010 => 3 101011 => 4 101100 => 5 101101 => 5 101110 => 0 101111 => 1 110000 => 2 110001 => 2 110010 => 1 110011 => 2 110100 => 0 110101 => 0 110110 => 1 110111 => 2 111000 => 3 111001 => 3 111010 => 2 111011 => 3 111100 => 4 111101 => 4 111110 => 5 111111 => 0 0000000 => 0 0000001 => 0 0000010 => 6 0000011 => 0 0000100 => 5 0000101 => 5 0000110 => 6 0000111 => 0 0001000 => 4 0001001 => 4 0001010 => 3 0001011 => 4 0001100 => 5 0001101 => 5 0001110 => 6 0001111 => 0 0010000 => 3 0010001 => 3 0010010 => 2 0010011 => 3 0010100 => 1 0010101 => 1 0010110 => 2 0010111 => 3 0011000 => 4 0011001 => 4 0011010 => 3 0011011 => 4 0011100 => 5 0011101 => 5 0011110 => 6 0011111 => 0 0100000 => 2 0100001 => 2 0100010 => 1 0100011 => 2 0100100 => 0 0100101 => 0 0100110 => 1 0100111 => 2 0101000 => 6 0101001 => 6 0101010 => 5 0101011 => 6 0101100 => 0 0101101 => 0 0101110 => 1 0101111 => 2 0110000 => 3 0110001 => 3 0110010 => 2 0110011 => 3 0110100 => 1 0110101 => 1 0110110 => 2 0110111 => 3 0111000 => 4 0111001 => 4 0111010 => 3 0111011 => 4 0111100 => 5 0111101 => 5 0111110 => 6 0111111 => 0 1000000 => 1 1000001 => 1 1000010 => 0 1000011 => 1 1000100 => 6 1000101 => 6 1000110 => 0 1000111 => 1 1001000 => 5 1001001 => 5 1001010 => 4 1001011 => 5 1001100 => 6 1001101 => 6 1001110 => 0 1001111 => 1 1010000 => 4 1010001 => 4 1010010 => 3 1010011 => 4 1010100 => 2 1010101 => 2 1010110 => 3 1010111 => 4 1011000 => 5 1011001 => 5 1011010 => 4 1011011 => 5 1011100 => 6 1011101 => 6 1011110 => 0 1011111 => 1 1100000 => 2 1100001 => 2 1100010 => 1 1100011 => 2 1100100 => 0 1100101 => 0 1100110 => 1 1100111 => 2 1101000 => 6 1101001 => 6 1101010 => 5 1101011 => 6 1101100 => 0 1101101 => 0 1101110 => 1 1101111 => 2 1110000 => 3 1110001 => 3 1110010 => 2 1110011 => 3 1110100 => 1 1110101 => 1 1110110 => 2 1110111 => 3 1111000 => 4 1111001 => 4 1111010 => 3 1111011 => 4 1111100 => 5 1111101 => 5 1111110 => 6 1111111 => 0 00000000 => 0 00000001 => 0 00000010 => 7 00000011 => 0 00000100 => 6 00000101 => 6 00000110 => 7 00000111 => 0 00001000 => 5 00001001 => 5 00001010 => 4 00001011 => 5 00001100 => 6 00001101 => 6 00001110 => 7 00001111 => 0 00010000 => 4 00010001 => 4 00010010 => 3 00010011 => 4 00010100 => 2 00010101 => 2 00010110 => 3 00010111 => 4 00011000 => 5 00011001 => 5 00011010 => 4 00011011 => 5 00011100 => 6 00011101 => 6 00011110 => 7 00011111 => 0 00100000 => 3 00100001 => 3 00100010 => 2 00100011 => 3 00100100 => 1 00100101 => 1 00100110 => 2 00100111 => 3 00101000 => 0 00101001 => 0 00101010 => 7 00101011 => 0 00101100 => 1 00101101 => 1 00101110 => 2 00101111 => 3 00110000 => 4 00110001 => 4 00110010 => 3 00110011 => 4 00110100 => 2 00110101 => 2 00110110 => 3 00110111 => 4 00111000 => 5 00111001 => 5 00111010 => 4 00111011 => 5 00111100 => 6 00111101 => 6 00111110 => 7 00111111 => 0 01000000 => 2 01000001 => 2 01000010 => 1 01000011 => 2 01000100 => 0 01000101 => 0 01000110 => 1 01000111 => 2 01001000 => 7 01001001 => 7 01001010 => 6 01001011 => 7 01001100 => 0 01001101 => 0 01001110 => 1 01001111 => 2 01010000 => 6 01010001 => 6 01010010 => 5 01010011 => 6 01010100 => 4 01010101 => 4 01010110 => 5 01010111 => 6 01011000 => 7 01011001 => 7 01011010 => 6 01011011 => 7 01011100 => 0 01011101 => 0 01011110 => 1 01011111 => 2 01100000 => 3 01100001 => 3 01100010 => 2 01100011 => 3 01100100 => 1 01100101 => 1 01100110 => 2 01100111 => 3 01101000 => 0 01101001 => 0 01101010 => 7 01101011 => 0 01101100 => 1 01101101 => 1 01101110 => 2 01101111 => 3 01110000 => 4 01110001 => 4 01110010 => 3 01110011 => 4 01110100 => 2 01110101 => 2 01110110 => 3 01110111 => 4 01111000 => 5 01111001 => 5 01111010 => 4 01111011 => 5 01111100 => 6 01111101 => 6 01111110 => 7 01111111 => 0 10000000 => 1 10000001 => 1 10000010 => 0 10000011 => 1 10000100 => 7 10000101 => 7 10000110 => 0 10000111 => 1 10001000 => 6 10001001 => 6 10001010 => 5 10001011 => 6 10001100 => 7 10001101 => 7 10001110 => 0 10001111 => 1 10010000 => 5 10010001 => 5 10010010 => 4 10010011 => 5 10010100 => 3 10010101 => 3 10010110 => 4 10010111 => 5 10011000 => 6 10011001 => 6 10011010 => 5 10011011 => 6 10011100 => 7 10011101 => 7 10011110 => 0 10011111 => 1 10100000 => 4 10100001 => 4 10100010 => 3 10100011 => 4 10100100 => 2 10100101 => 2 10100110 => 3 10100111 => 4 10101000 => 1 10101001 => 1 10101010 => 0 10101011 => 1 10101100 => 2 10101101 => 2 10101110 => 3 10101111 => 4 10110000 => 5 10110001 => 5 10110010 => 4 10110011 => 5 10110100 => 3 10110101 => 3 10110110 => 4 10110111 => 5 10111000 => 6 10111001 => 6 10111010 => 5 10111011 => 6 10111100 => 7 10111101 => 7 10111110 => 0 10111111 => 1 11000000 => 2 11000001 => 2 11000010 => 1 11000011 => 2 11000100 => 0 11000101 => 0 11000110 => 1 11000111 => 2 11001000 => 7 11001001 => 7 11001010 => 6 11001011 => 7 11001100 => 0 11001101 => 0 11001110 => 1 11001111 => 2 11010000 => 6 11010001 => 6 11010010 => 5 11010011 => 6 11010100 => 4 11010101 => 4 11010110 => 5 11010111 => 6 11011000 => 7 11011001 => 7 11011010 => 6 11011011 => 7 11011100 => 0 11011101 => 0 11011110 => 1 11011111 => 2 11100000 => 3 11100001 => 3 11100010 => 2 11100011 => 3 11100100 => 1 11100101 => 1 11100110 => 2 11100111 => 3 11101000 => 0 11101001 => 0 11101010 => 7 11101011 => 0 11101100 => 1 11101101 => 1 11101110 => 2 11101111 => 3 11110000 => 4 11110001 => 4 11110010 => 3 11110011 => 4 11110100 => 2 11110101 => 2 11110110 => 3 11110111 => 4 11111000 => 5 11111001 => 5 11111010 => 4 11111011 => 5 11111100 => 6 11111101 => 6 11111110 => 7 11111111 => 0 000000000 => 0 000000001 => 0 000000010 => 8 000000011 => 0 000000100 => 7 000000101 => 7 000000110 => 8 000000111 => 0 000001000 => 6 000001001 => 6 000001010 => 5 000001011 => 6 000001100 => 7 000001101 => 7 000001110 => 8 000001111 => 0 000010000 => 5 000010001 => 5 000010010 => 4 000010011 => 5 000010100 => 3 000010101 => 3 000010110 => 4 000010111 => 5 000011000 => 6 000011001 => 6 000011010 => 5 000011011 => 6 000011100 => 7 000011101 => 7 000011110 => 8 000011111 => 0 000100000 => 4 000100001 => 4 000100010 => 3 000100011 => 4 000100100 => 2 000100101 => 2 000100110 => 3 000100111 => 4 000101000 => 1 000101001 => 1 000101010 => 0 000101011 => 1 000101100 => 2 000101101 => 2 000101110 => 3 000101111 => 4 000110000 => 5 000110001 => 5 000110010 => 4 000110011 => 5 000110100 => 3 000110101 => 3 000110110 => 4 000110111 => 5 000111000 => 6 000111001 => 6 000111010 => 5 000111011 => 6 000111100 => 7 000111101 => 7 000111110 => 8 000111111 => 0 001000000 => 3 001000001 => 3 001000010 => 2 001000011 => 3 001000100 => 1 001000101 => 1 001000110 => 2 001000111 => 3 001001000 => 0 001001001 => 0 001001010 => 8 001001011 => 0 001001100 => 1 001001101 => 1 001001110 => 2 001001111 => 3 001010000 => 8 001010001 => 8 001010010 => 7 001010011 => 8 001010100 => 6 001010101 => 6 001010110 => 7 001010111 => 8 001011000 => 0 001011001 => 0 001011010 => 8 001011011 => 0 001011100 => 1 001011101 => 1 001011110 => 2 001011111 => 3 001100000 => 4 001100001 => 4 001100010 => 3 001100011 => 4 001100100 => 2 001100101 => 2 001100110 => 3 001100111 => 4 001101000 => 1 001101001 => 1 001101010 => 0 001101011 => 1 001101100 => 2 001101101 => 2 001101110 => 3 001101111 => 4 001110000 => 5 001110001 => 5 001110010 => 4 001110011 => 5 001110100 => 3 001110101 => 3 001110110 => 4 001110111 => 5 001111000 => 6 001111001 => 6 001111010 => 5 001111011 => 6 001111100 => 7 001111101 => 7 001111110 => 8 001111111 => 0 010000000 => 2 010000001 => 2 010000010 => 1 010000011 => 2 010000100 => 0 010000101 => 0 010000110 => 1 010000111 => 2 010001000 => 8 010001001 => 8 010001010 => 7 010001011 => 8 010001100 => 0 010001101 => 0 010001110 => 1 010001111 => 2 010010000 => 7 010010001 => 7 010010010 => 6 010010011 => 7 010010100 => 5 010010101 => 5 010010110 => 6 010010111 => 7 010011000 => 8 010011001 => 8 010011010 => 7 010011011 => 8 010011100 => 0 010011101 => 0 010011110 => 1 010011111 => 2 010100000 => 6 010100001 => 6 010100010 => 5 010100011 => 6 010100100 => 4 010100101 => 4 010100110 => 5 010100111 => 6 010101000 => 3 010101001 => 3 010101010 => 2 010101011 => 3 010101100 => 4 010101101 => 4 010101110 => 5 010101111 => 6 010110000 => 7 010110001 => 7 010110010 => 6 010110011 => 7 010110100 => 5 010110101 => 5 010110110 => 6 010110111 => 7 010111000 => 8 010111001 => 8 010111010 => 7 010111011 => 8 010111100 => 0 010111101 => 0 010111110 => 1 010111111 => 2 011000000 => 3 011000001 => 3 011000010 => 2 011000011 => 3 011000100 => 1 011000101 => 1 011000110 => 2 011000111 => 3 011001000 => 0 011001001 => 0 011001010 => 8 011001011 => 0 011001100 => 1 011001101 => 1 011001110 => 2 011001111 => 3 011010000 => 8 011010001 => 8 011010010 => 7 011010011 => 8 011010100 => 6 011010101 => 6 011010110 => 7 011010111 => 8 011011000 => 0 011011001 => 0 011011010 => 8 011011011 => 0 011011100 => 1 011011101 => 1 011011110 => 2 011011111 => 3 011100000 => 4 011100001 => 4 011100010 => 3 011100011 => 4 011100100 => 2 011100101 => 2 011100110 => 3 011100111 => 4 011101000 => 1 011101001 => 1 011101010 => 0 011101011 => 1 011101100 => 2 011101101 => 2 011101110 => 3 011101111 => 4 011110000 => 5 011110001 => 5 011110010 => 4 011110011 => 5 011110100 => 3 011110101 => 3 011110110 => 4 011110111 => 5 011111000 => 6 011111001 => 6 011111010 => 5 011111011 => 6 011111100 => 7 011111101 => 7 011111110 => 8 011111111 => 0 100000000 => 1 100000001 => 1 100000010 => 0 100000011 => 1 100000100 => 8 100000101 => 8 100000110 => 0 100000111 => 1 100001000 => 7 100001001 => 7 100001010 => 6 100001011 => 7 100001100 => 8 100001101 => 8 100001110 => 0 100001111 => 1 100010000 => 6 100010001 => 6 100010010 => 5 100010011 => 6 100010100 => 4 100010101 => 4 100010110 => 5 100010111 => 6 100011000 => 7 100011001 => 7 100011010 => 6 100011011 => 7 100011100 => 8 100011101 => 8 100011110 => 0 100011111 => 1 100100000 => 5 100100001 => 5 100100010 => 4 100100011 => 5 100100100 => 3 100100101 => 3 100100110 => 4 100100111 => 5 100101000 => 2 100101001 => 2 100101010 => 1 100101011 => 2 100101100 => 3 100101101 => 3 100101110 => 4 100101111 => 5 100110000 => 6 100110001 => 6 100110010 => 5 100110011 => 6 100110100 => 4 100110101 => 4 100110110 => 5 100110111 => 6 100111000 => 7 100111001 => 7 100111010 => 6 100111011 => 7 100111100 => 8 100111101 => 8 100111110 => 0 100111111 => 1 101000000 => 4 101000001 => 4 101000010 => 3 101000011 => 4 101000100 => 2 101000101 => 2 101000110 => 3 101000111 => 4 101001000 => 1 101001001 => 1 101001010 => 0 101001011 => 1 101001100 => 2 101001101 => 2 101001110 => 3 101001111 => 4 101010000 => 0 101010001 => 0 101010010 => 8 101010011 => 0 101010100 => 7 101010101 => 7 101010110 => 8 101010111 => 0 101011000 => 1 101011001 => 1 101011010 => 0 101011011 => 1 101011100 => 2 101011101 => 2 101011110 => 3 101011111 => 4 101100000 => 5 101100001 => 5 101100010 => 4 101100011 => 5 101100100 => 3 101100101 => 3 101100110 => 4 101100111 => 5 101101000 => 2 101101001 => 2 101101010 => 1 101101011 => 2 101101100 => 3 101101101 => 3 101101110 => 4 101101111 => 5 101110000 => 6 101110001 => 6 101110010 => 5 101110011 => 6 101110100 => 4 101110101 => 4 101110110 => 5 101110111 => 6 101111000 => 7 101111001 => 7 101111010 => 6 101111011 => 7 101111100 => 8 101111101 => 8 101111110 => 0 101111111 => 1 110000000 => 2 110000001 => 2 110000010 => 1 110000011 => 2 110000100 => 0 110000101 => 0 110000110 => 1 110000111 => 2 110001000 => 8 110001001 => 8 110001010 => 7 110001011 => 8 110001100 => 0 110001101 => 0 110001110 => 1 110001111 => 2 110010000 => 7 110010001 => 7 110010010 => 6 110010011 => 7 110010100 => 5 110010101 => 5 110010110 => 6 110010111 => 7 110011000 => 8 110011001 => 8 110011010 => 7 110011011 => 8 110011100 => 0 110011101 => 0 110011110 => 1 110011111 => 2 110100000 => 6 110100001 => 6 110100010 => 5 110100011 => 6 110100100 => 4 110100101 => 4 110100110 => 5 110100111 => 6 110101000 => 3 110101001 => 3 110101010 => 2 110101011 => 3 110101100 => 4 110101101 => 4 110101110 => 5 110101111 => 6 110110000 => 7 110110001 => 7 110110010 => 6 110110011 => 7 110110100 => 5 110110101 => 5 110110110 => 6 110110111 => 7 110111000 => 8 110111001 => 8 110111010 => 7 110111011 => 8 110111100 => 0 110111101 => 0 110111110 => 1 110111111 => 2 111000000 => 3 111000001 => 3 111000010 => 2 111000011 => 3 111000100 => 1 111000101 => 1 111000110 => 2 111000111 => 3 111001000 => 0 111001001 => 0 111001010 => 8 111001011 => 0 111001100 => 1 111001101 => 1 111001110 => 2 111001111 => 3 111010000 => 8 111010001 => 8 111010010 => 7 111010011 => 8 111010100 => 6 111010101 => 6 111010110 => 7 111010111 => 8 111011000 => 0 111011001 => 0 111011010 => 8 111011011 => 0 111011100 => 1 111011101 => 1 111011110 => 2 111011111 => 3 111100000 => 4 111100001 => 4 111100010 => 3 111100011 => 4 111100100 => 2 111100101 => 2 111100110 => 3 111100111 => 4 111101000 => 1 111101001 => 1 111101010 => 0 111101011 => 1 111101100 => 2 111101101 => 2 111101110 => 3 111101111 => 4 111110000 => 5 111110001 => 5 111110010 => 4 111110011 => 5 111110100 => 3 111110101 => 3 111110110 => 4 111110111 => 5 111111000 => 6 111111001 => 6 111111010 => 5 111111011 => 6 111111100 => 7 111111101 => 7 111111110 => 8 111111111 => 0 ----------------------------------------------------------------------------- Created: Oct 24, 2019 at 13:25 by Martin Rubey ----------------------------------------------------------------------------- Last Updated: Oct 24, 2019 at 13:25 by Martin Rubey