************************************************************************ * www.FindStat.org - The Combinatorial Statistic Finder * * * * Copyright (C) 2013 The FindStatCrew * * * * This information is distributed in the hope that it will be * * useful, but WITHOUT ANY WARRANTY; without even the implied * * warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. * ************************************************************************ ------------------------------------------------------------------------ Map identifier: Mp00158 ------------------------------------------------------------------------ Map name: alternating inverse ------------------------------------------------------------------------ Domain: Binary words ------------------------------------------------------------------------ Codomain: Binary words ------------------------------------------------------------------------ Description: Sends a binary word $w_1\cdots w_m$ to the binary word $v_1 \cdots v_m$ with $v_i = w_i$ if $i$ is odd and $v_i = 1 - w_i$ if $i$ is even. This map is used in [1], see Definitions 3.2 and 5.1. ------------------------------------------------------------------------ References: [1] Karp, S. N., Williams, L. K. The m=1 amplituhedron and cyclic hyperplane arrangements [[arXiv:1608.08288]] ------------------------------------------------------------------------ Code: def mapping(w): P = w.parent() v = list(w) for i in range(len(v)): if is_odd(i): v[i] = 1-v[i] return P(v) ------------------------------------------------------------------------ Map images: 0 => 0 1 => 1 00 => 01 01 => 00 10 => 11 11 => 10 000 => 010 001 => 011 010 => 000 011 => 001 100 => 110 101 => 111 110 => 100 111 => 101 0000 => 0101 0001 => 0100 0010 => 0111 0011 => 0110 0100 => 0001 0101 => 0000 0110 => 0011 0111 => 0010 1000 => 1101 1001 => 1100 1010 => 1111 1011 => 1110 1100 => 1001 1101 => 1000 1110 => 1011 1111 => 1010 00000 => 01010 00001 => 01011 00010 => 01000 00011 => 01001 00100 => 01110 00101 => 01111 00110 => 01100 00111 => 01101 01000 => 00010 01001 => 00011 01010 => 00000 01011 => 00001 01100 => 00110 01101 => 00111 01110 => 00100 01111 => 00101 10000 => 11010 10001 => 11011 10010 => 11000 10011 => 11001 10100 => 11110 10101 => 11111 10110 => 11100 10111 => 11101 11000 => 10010 11001 => 10011 11010 => 10000 11011 => 10001 11100 => 10110 11101 => 10111 11110 => 10100 11111 => 10101 000000 => 010101 000001 => 010100 000010 => 010111 000011 => 010110 000100 => 010001 000101 => 010000 000110 => 010011 000111 => 010010 001000 => 011101 001001 => 011100 001010 => 011111 001011 => 011110 001100 => 011001 001101 => 011000 001110 => 011011 001111 => 011010 010000 => 000101 010001 => 000100 010010 => 000111 010011 => 000110 010100 => 000001 010101 => 000000 010110 => 000011 010111 => 000010 011000 => 001101 011001 => 001100 011010 => 001111 011011 => 001110 011100 => 001001 011101 => 001000 011110 => 001011 011111 => 001010 100000 => 110101 100001 => 110100 100010 => 110111 100011 => 110110 100100 => 110001 100101 => 110000 100110 => 110011 100111 => 110010 101000 => 111101 101001 => 111100 101010 => 111111 101011 => 111110 101100 => 111001 101101 => 111000 101110 => 111011 101111 => 111010 110000 => 100101 110001 => 100100 110010 => 100111 110011 => 100110 110100 => 100001 110101 => 100000 110110 => 100011 110111 => 100010 111000 => 101101 111001 => 101100 111010 => 101111 111011 => 101110 111100 => 101001 111101 => 101000 111110 => 101011 111111 => 101010 0000000 => 0101010 0000001 => 0101011 0000010 => 0101000 0000011 => 0101001 0000100 => 0101110 0000101 => 0101111 0000110 => 0101100 0000111 => 0101101 0001000 => 0100010 0001001 => 0100011 0001010 => 0100000 0001011 => 0100001 0001100 => 0100110 0001101 => 0100111 0001110 => 0100100 0001111 => 0100101 0010000 => 0111010 0010001 => 0111011 0010010 => 0111000 0010011 => 0111001 0010100 => 0111110 0010101 => 0111111 0010110 => 0111100 0010111 => 0111101 0011000 => 0110010 0011001 => 0110011 0011010 => 0110000 0011011 => 0110001 0011100 => 0110110 0011101 => 0110111 0011110 => 0110100 0011111 => 0110101 0100000 => 0001010 0100001 => 0001011 0100010 => 0001000 0100011 => 0001001 0100100 => 0001110 0100101 => 0001111 0100110 => 0001100 0100111 => 0001101 0101000 => 0000010 0101001 => 0000011 0101010 => 0000000 0101011 => 0000001 0101100 => 0000110 0101101 => 0000111 0101110 => 0000100 0101111 => 0000101 0110000 => 0011010 0110001 => 0011011 0110010 => 0011000 0110011 => 0011001 0110100 => 0011110 0110101 => 0011111 0110110 => 0011100 0110111 => 0011101 0111000 => 0010010 0111001 => 0010011 0111010 => 0010000 0111011 => 0010001 0111100 => 0010110 0111101 => 0010111 0111110 => 0010100 0111111 => 0010101 1000000 => 1101010 1000001 => 1101011 1000010 => 1101000 1000011 => 1101001 1000100 => 1101110 1000101 => 1101111 1000110 => 1101100 1000111 => 1101101 1001000 => 1100010 1001001 => 1100011 1001010 => 1100000 1001011 => 1100001 1001100 => 1100110 1001101 => 1100111 1001110 => 1100100 1001111 => 1100101 1010000 => 1111010 1010001 => 1111011 1010010 => 1111000 1010011 => 1111001 1010100 => 1111110 1010101 => 1111111 1010110 => 1111100 1010111 => 1111101 1011000 => 1110010 1011001 => 1110011 1011010 => 1110000 1011011 => 1110001 1011100 => 1110110 1011101 => 1110111 1011110 => 1110100 1011111 => 1110101 1100000 => 1001010 1100001 => 1001011 1100010 => 1001000 1100011 => 1001001 1100100 => 1001110 1100101 => 1001111 1100110 => 1001100 1100111 => 1001101 1101000 => 1000010 1101001 => 1000011 1101010 => 1000000 1101011 => 1000001 1101100 => 1000110 1101101 => 1000111 1101110 => 1000100 1101111 => 1000101 1110000 => 1011010 1110001 => 1011011 1110010 => 1011000 1110011 => 1011001 1110100 => 1011110 1110101 => 1011111 1110110 => 1011100 1110111 => 1011101 1111000 => 1010010 1111001 => 1010011 1111010 => 1010000 1111011 => 1010001 1111100 => 1010110 1111101 => 1010111 1111110 => 1010100 1111111 => 1010101 00000000 => 01010101 00000001 => 01010100 00000010 => 01010111 00000011 => 01010110 00000100 => 01010001 00000101 => 01010000 00000110 => 01010011 00000111 => 01010010 00001000 => 01011101 00001001 => 01011100 00001010 => 01011111 00001011 => 01011110 00001100 => 01011001 00001101 => 01011000 00001110 => 01011011 00001111 => 01011010 00010000 => 01000101 00010001 => 01000100 00010010 => 01000111 00010011 => 01000110 00010100 => 01000001 00010101 => 01000000 00010110 => 01000011 00010111 => 01000010 00011000 => 01001101 00011001 => 01001100 00011010 => 01001111 00011011 => 01001110 00011100 => 01001001 00011101 => 01001000 00011110 => 01001011 00011111 => 01001010 00100000 => 01110101 00100001 => 01110100 00100010 => 01110111 00100011 => 01110110 00100100 => 01110001 00100101 => 01110000 00100110 => 01110011 00100111 => 01110010 00101000 => 01111101 00101001 => 01111100 00101010 => 01111111 00101011 => 01111110 00101100 => 01111001 00101101 => 01111000 00101110 => 01111011 00101111 => 01111010 00110000 => 01100101 00110001 => 01100100 00110010 => 01100111 00110011 => 01100110 00110100 => 01100001 00110101 => 01100000 00110110 => 01100011 00110111 => 01100010 00111000 => 01101101 00111001 => 01101100 00111010 => 01101111 00111011 => 01101110 00111100 => 01101001 00111101 => 01101000 00111110 => 01101011 00111111 => 01101010 01000000 => 00010101 01000001 => 00010100 01000010 => 00010111 01000011 => 00010110 01000100 => 00010001 01000101 => 00010000 01000110 => 00010011 01000111 => 00010010 01001000 => 00011101 01001001 => 00011100 01001010 => 00011111 01001011 => 00011110 01001100 => 00011001 01001101 => 00011000 01001110 => 00011011 01001111 => 00011010 01010000 => 00000101 01010001 => 00000100 01010010 => 00000111 01010011 => 00000110 01010100 => 00000001 01010101 => 00000000 01010110 => 00000011 01010111 => 00000010 01011000 => 00001101 01011001 => 00001100 01011010 => 00001111 01011011 => 00001110 01011100 => 00001001 01011101 => 00001000 01011110 => 00001011 01011111 => 00001010 01100000 => 00110101 01100001 => 00110100 01100010 => 00110111 01100011 => 00110110 01100100 => 00110001 01100101 => 00110000 01100110 => 00110011 01100111 => 00110010 01101000 => 00111101 01101001 => 00111100 01101010 => 00111111 01101011 => 00111110 01101100 => 00111001 01101101 => 00111000 01101110 => 00111011 01101111 => 00111010 01110000 => 00100101 01110001 => 00100100 01110010 => 00100111 01110011 => 00100110 01110100 => 00100001 01110101 => 00100000 01110110 => 00100011 01110111 => 00100010 01111000 => 00101101 01111001 => 00101100 01111010 => 00101111 01111011 => 00101110 01111100 => 00101001 01111101 => 00101000 01111110 => 00101011 01111111 => 00101010 10000000 => 11010101 10000001 => 11010100 10000010 => 11010111 10000011 => 11010110 10000100 => 11010001 10000101 => 11010000 10000110 => 11010011 10000111 => 11010010 10001000 => 11011101 10001001 => 11011100 10001010 => 11011111 10001011 => 11011110 10001100 => 11011001 10001101 => 11011000 10001110 => 11011011 10001111 => 11011010 10010000 => 11000101 10010001 => 11000100 10010010 => 11000111 10010011 => 11000110 10010100 => 11000001 10010101 => 11000000 10010110 => 11000011 10010111 => 11000010 10011000 => 11001101 10011001 => 11001100 10011010 => 11001111 10011011 => 11001110 10011100 => 11001001 10011101 => 11001000 10011110 => 11001011 10011111 => 11001010 10100000 => 11110101 10100001 => 11110100 10100010 => 11110111 10100011 => 11110110 10100100 => 11110001 10100101 => 11110000 10100110 => 11110011 10100111 => 11110010 10101000 => 11111101 10101001 => 11111100 10101010 => 11111111 10101011 => 11111110 10101100 => 11111001 10101101 => 11111000 10101110 => 11111011 10101111 => 11111010 10110000 => 11100101 10110001 => 11100100 10110010 => 11100111 10110011 => 11100110 10110100 => 11100001 10110101 => 11100000 10110110 => 11100011 10110111 => 11100010 10111000 => 11101101 10111001 => 11101100 10111010 => 11101111 10111011 => 11101110 10111100 => 11101001 10111101 => 11101000 10111110 => 11101011 10111111 => 11101010 11000000 => 10010101 11000001 => 10010100 11000010 => 10010111 11000011 => 10010110 11000100 => 10010001 11000101 => 10010000 11000110 => 10010011 11000111 => 10010010 11001000 => 10011101 11001001 => 10011100 11001010 => 10011111 11001011 => 10011110 11001100 => 10011001 11001101 => 10011000 11001110 => 10011011 11001111 => 10011010 11010000 => 10000101 11010001 => 10000100 11010010 => 10000111 11010011 => 10000110 11010100 => 10000001 11010101 => 10000000 11010110 => 10000011 11010111 => 10000010 11011000 => 10001101 11011001 => 10001100 11011010 => 10001111 11011011 => 10001110 11011100 => 10001001 11011101 => 10001000 11011110 => 10001011 11011111 => 10001010 11100000 => 10110101 11100001 => 10110100 11100010 => 10110111 11100011 => 10110110 11100100 => 10110001 11100101 => 10110000 11100110 => 10110011 11100111 => 10110010 11101000 => 10111101 11101001 => 10111100 11101010 => 10111111 11101011 => 10111110 11101100 => 10111001 11101101 => 10111000 11101110 => 10111011 11101111 => 10111010 11110000 => 10100101 11110001 => 10100100 11110010 => 10100111 11110011 => 10100110 11110100 => 10100001 11110101 => 10100000 11110110 => 10100011 11110111 => 10100010 11111000 => 10101101 11111001 => 10101100 11111010 => 10101111 11111011 => 10101110 11111100 => 10101001 11111101 => 10101000 11111110 => 10101011 11111111 => 10101010 000000000 => 010101010 000000001 => 010101011 000000010 => 010101000 000000011 => 010101001 000000100 => 010101110 000000101 => 010101111 000000110 => 010101100 000000111 => 010101101 000001000 => 010100010 000001001 => 010100011 000001010 => 010100000 000001011 => 010100001 000001100 => 010100110 000001101 => 010100111 000001110 => 010100100 000001111 => 010100101 000010000 => 010111010 000010001 => 010111011 000010010 => 010111000 000010011 => 010111001 000010100 => 010111110 000010101 => 010111111 000010110 => 010111100 000010111 => 010111101 000011000 => 010110010 000011001 => 010110011 000011010 => 010110000 000011011 => 010110001 000011100 => 010110110 000011101 => 010110111 000011110 => 010110100 000011111 => 010110101 000100000 => 010001010 000100001 => 010001011 000100010 => 010001000 000100011 => 010001001 000100100 => 010001110 000100101 => 010001111 000100110 => 010001100 000100111 => 010001101 000101000 => 010000010 000101001 => 010000011 000101010 => 010000000 000101011 => 010000001 000101100 => 010000110 000101101 => 010000111 000101110 => 010000100 000101111 => 010000101 000110000 => 010011010 000110001 => 010011011 000110010 => 010011000 000110011 => 010011001 000110100 => 010011110 000110101 => 010011111 000110110 => 010011100 000110111 => 010011101 000111000 => 010010010 000111001 => 010010011 000111010 => 010010000 000111011 => 010010001 000111100 => 010010110 000111101 => 010010111 000111110 => 010010100 000111111 => 010010101 001000000 => 011101010 001000001 => 011101011 001000010 => 011101000 001000011 => 011101001 001000100 => 011101110 001000101 => 011101111 001000110 => 011101100 001000111 => 011101101 001001000 => 011100010 001001001 => 011100011 001001010 => 011100000 001001011 => 011100001 001001100 => 011100110 001001101 => 011100111 001001110 => 011100100 001001111 => 011100101 001010000 => 011111010 001010001 => 011111011 001010010 => 011111000 001010011 => 011111001 001010100 => 011111110 001010101 => 011111111 001010110 => 011111100 001010111 => 011111101 001011000 => 011110010 001011001 => 011110011 001011010 => 011110000 001011011 => 011110001 001011100 => 011110110 001011101 => 011110111 001011110 => 011110100 001011111 => 011110101 001100000 => 011001010 001100001 => 011001011 001100010 => 011001000 001100011 => 011001001 001100100 => 011001110 001100101 => 011001111 001100110 => 011001100 001100111 => 011001101 001101000 => 011000010 001101001 => 011000011 001101010 => 011000000 001101011 => 011000001 001101100 => 011000110 001101101 => 011000111 001101110 => 011000100 001101111 => 011000101 001110000 => 011011010 001110001 => 011011011 001110010 => 011011000 001110011 => 011011001 001110100 => 011011110 001110101 => 011011111 001110110 => 011011100 001110111 => 011011101 001111000 => 011010010 001111001 => 011010011 001111010 => 011010000 001111011 => 011010001 001111100 => 011010110 001111101 => 011010111 001111110 => 011010100 001111111 => 011010101 010000000 => 000101010 010000001 => 000101011 010000010 => 000101000 010000011 => 000101001 010000100 => 000101110 010000101 => 000101111 010000110 => 000101100 010000111 => 000101101 010001000 => 000100010 010001001 => 000100011 010001010 => 000100000 010001011 => 000100001 010001100 => 000100110 010001101 => 000100111 010001110 => 000100100 010001111 => 000100101 010010000 => 000111010 010010001 => 000111011 010010010 => 000111000 010010011 => 000111001 010010100 => 000111110 010010101 => 000111111 010010110 => 000111100 010010111 => 000111101 010011000 => 000110010 010011001 => 000110011 010011010 => 000110000 010011011 => 000110001 010011100 => 000110110 010011101 => 000110111 010011110 => 000110100 010011111 => 000110101 010100000 => 000001010 010100001 => 000001011 010100010 => 000001000 010100011 => 000001001 010100100 => 000001110 010100101 => 000001111 010100110 => 000001100 010100111 => 000001101 010101000 => 000000010 010101001 => 000000011 010101010 => 000000000 010101011 => 000000001 010101100 => 000000110 010101101 => 000000111 010101110 => 000000100 010101111 => 000000101 010110000 => 000011010 010110001 => 000011011 010110010 => 000011000 010110011 => 000011001 010110100 => 000011110 010110101 => 000011111 010110110 => 000011100 010110111 => 000011101 010111000 => 000010010 010111001 => 000010011 010111010 => 000010000 010111011 => 000010001 010111100 => 000010110 010111101 => 000010111 010111110 => 000010100 010111111 => 000010101 011000000 => 001101010 011000001 => 001101011 011000010 => 001101000 011000011 => 001101001 011000100 => 001101110 011000101 => 001101111 011000110 => 001101100 011000111 => 001101101 011001000 => 001100010 011001001 => 001100011 011001010 => 001100000 011001011 => 001100001 011001100 => 001100110 011001101 => 001100111 011001110 => 001100100 011001111 => 001100101 011010000 => 001111010 011010001 => 001111011 011010010 => 001111000 011010011 => 001111001 011010100 => 001111110 011010101 => 001111111 011010110 => 001111100 011010111 => 001111101 011011000 => 001110010 011011001 => 001110011 011011010 => 001110000 011011011 => 001110001 011011100 => 001110110 011011101 => 001110111 011011110 => 001110100 011011111 => 001110101 011100000 => 001001010 011100001 => 001001011 011100010 => 001001000 011100011 => 001001001 011100100 => 001001110 011100101 => 001001111 011100110 => 001001100 011100111 => 001001101 011101000 => 001000010 011101001 => 001000011 011101010 => 001000000 011101011 => 001000001 011101100 => 001000110 011101101 => 001000111 011101110 => 001000100 011101111 => 001000101 011110000 => 001011010 011110001 => 001011011 011110010 => 001011000 011110011 => 001011001 011110100 => 001011110 011110101 => 001011111 011110110 => 001011100 011110111 => 001011101 011111000 => 001010010 011111001 => 001010011 011111010 => 001010000 011111011 => 001010001 011111100 => 001010110 011111101 => 001010111 011111110 => 001010100 011111111 => 001010101 100000000 => 110101010 100000001 => 110101011 100000010 => 110101000 100000011 => 110101001 100000100 => 110101110 100000101 => 110101111 100000110 => 110101100 100000111 => 110101101 100001000 => 110100010 100001001 => 110100011 100001010 => 110100000 100001011 => 110100001 100001100 => 110100110 100001101 => 110100111 100001110 => 110100100 100001111 => 110100101 100010000 => 110111010 100010001 => 110111011 100010010 => 110111000 100010011 => 110111001 100010100 => 110111110 100010101 => 110111111 100010110 => 110111100 100010111 => 110111101 100011000 => 110110010 100011001 => 110110011 100011010 => 110110000 100011011 => 110110001 100011100 => 110110110 100011101 => 110110111 100011110 => 110110100 100011111 => 110110101 100100000 => 110001010 100100001 => 110001011 100100010 => 110001000 100100011 => 110001001 100100100 => 110001110 100100101 => 110001111 100100110 => 110001100 100100111 => 110001101 100101000 => 110000010 100101001 => 110000011 100101010 => 110000000 100101011 => 110000001 100101100 => 110000110 100101101 => 110000111 100101110 => 110000100 100101111 => 110000101 100110000 => 110011010 100110001 => 110011011 100110010 => 110011000 100110011 => 110011001 100110100 => 110011110 100110101 => 110011111 100110110 => 110011100 100110111 => 110011101 100111000 => 110010010 100111001 => 110010011 100111010 => 110010000 100111011 => 110010001 100111100 => 110010110 100111101 => 110010111 100111110 => 110010100 100111111 => 110010101 101000000 => 111101010 101000001 => 111101011 101000010 => 111101000 101000011 => 111101001 101000100 => 111101110 101000101 => 111101111 101000110 => 111101100 101000111 => 111101101 101001000 => 111100010 101001001 => 111100011 101001010 => 111100000 101001011 => 111100001 101001100 => 111100110 101001101 => 111100111 101001110 => 111100100 101001111 => 111100101 101010000 => 111111010 101010001 => 111111011 101010010 => 111111000 101010011 => 111111001 101010100 => 111111110 101010101 => 111111111 101010110 => 111111100 101010111 => 111111101 101011000 => 111110010 101011001 => 111110011 101011010 => 111110000 101011011 => 111110001 101011100 => 111110110 101011101 => 111110111 101011110 => 111110100 101011111 => 111110101 101100000 => 111001010 101100001 => 111001011 101100010 => 111001000 101100011 => 111001001 101100100 => 111001110 101100101 => 111001111 101100110 => 111001100 101100111 => 111001101 101101000 => 111000010 101101001 => 111000011 101101010 => 111000000 101101011 => 111000001 101101100 => 111000110 101101101 => 111000111 101101110 => 111000100 101101111 => 111000101 101110000 => 111011010 101110001 => 111011011 101110010 => 111011000 101110011 => 111011001 101110100 => 111011110 101110101 => 111011111 101110110 => 111011100 101110111 => 111011101 101111000 => 111010010 101111001 => 111010011 101111010 => 111010000 101111011 => 111010001 101111100 => 111010110 101111101 => 111010111 101111110 => 111010100 101111111 => 111010101 110000000 => 100101010 110000001 => 100101011 110000010 => 100101000 110000011 => 100101001 110000100 => 100101110 110000101 => 100101111 110000110 => 100101100 110000111 => 100101101 110001000 => 100100010 110001001 => 100100011 110001010 => 100100000 110001011 => 100100001 110001100 => 100100110 110001101 => 100100111 110001110 => 100100100 110001111 => 100100101 110010000 => 100111010 110010001 => 100111011 110010010 => 100111000 110010011 => 100111001 110010100 => 100111110 110010101 => 100111111 110010110 => 100111100 110010111 => 100111101 110011000 => 100110010 110011001 => 100110011 110011010 => 100110000 110011011 => 100110001 110011100 => 100110110 110011101 => 100110111 110011110 => 100110100 110011111 => 100110101 110100000 => 100001010 110100001 => 100001011 110100010 => 100001000 110100011 => 100001001 110100100 => 100001110 110100101 => 100001111 110100110 => 100001100 110100111 => 100001101 110101000 => 100000010 110101001 => 100000011 110101010 => 100000000 110101011 => 100000001 110101100 => 100000110 110101101 => 100000111 110101110 => 100000100 110101111 => 100000101 110110000 => 100011010 110110001 => 100011011 110110010 => 100011000 110110011 => 100011001 110110100 => 100011110 110110101 => 100011111 110110110 => 100011100 110110111 => 100011101 110111000 => 100010010 110111001 => 100010011 110111010 => 100010000 110111011 => 100010001 110111100 => 100010110 110111101 => 100010111 110111110 => 100010100 110111111 => 100010101 111000000 => 101101010 111000001 => 101101011 111000010 => 101101000 111000011 => 101101001 111000100 => 101101110 111000101 => 101101111 111000110 => 101101100 111000111 => 101101101 111001000 => 101100010 111001001 => 101100011 111001010 => 101100000 111001011 => 101100001 111001100 => 101100110 111001101 => 101100111 111001110 => 101100100 111001111 => 101100101 111010000 => 101111010 111010001 => 101111011 111010010 => 101111000 111010011 => 101111001 111010100 => 101111110 111010101 => 101111111 111010110 => 101111100 111010111 => 101111101 111011000 => 101110010 111011001 => 101110011 111011010 => 101110000 111011011 => 101110001 111011100 => 101110110 111011101 => 101110111 111011110 => 101110100 111011111 => 101110101 111100000 => 101001010 111100001 => 101001011 111100010 => 101001000 111100011 => 101001001 111100100 => 101001110 111100101 => 101001111 111100110 => 101001100 111100111 => 101001101 111101000 => 101000010 111101001 => 101000011 111101010 => 101000000 111101011 => 101000001 111101100 => 101000110 111101101 => 101000111 111101110 => 101000100 111101111 => 101000101 111110000 => 101011010 111110001 => 101011011 111110010 => 101011000 111110011 => 101011001 111110100 => 101011110 111110101 => 101011111 111110110 => 101011100 111110111 => 101011101 111111000 => 101010010 111111001 => 101010011 111111010 => 101010000 111111011 => 101010001 111111100 => 101010110 111111101 => 101010111 111111110 => 101010100 111111111 => 101010101 1010101010 => 1111111111 1010101100 => 1111111001 1010110010 => 1111100111 1010110100 => 1111100001 1010111000 => 1111101101 1011001010 => 1110011111 1011001100 => 1110011001 1011010010 => 1110000111 1011010100 => 1110000001 1011011000 => 1110001101 1011100010 => 1110110111 1011100100 => 1110110001 1011101000 => 1110111101 1011110000 => 1110100101 1100101010 => 1001111111 1100101100 => 1001111001 1100110010 => 1001100111 1100110100 => 1001100001 1100111000 => 1001101101 1101001010 => 1000011111 1101001100 => 1000011001 1101010010 => 1000000111 1101010100 => 1000000001 1101011000 => 1000001101 1101100010 => 1000110111 1101100100 => 1000110001 1101101000 => 1000111101 1101110000 => 1000100101 1110001010 => 1011011111 1110001100 => 1011011001 1110010010 => 1011000111 1110010100 => 1011000001 1110011000 => 1011001101 1110100010 => 1011110111 1110100100 => 1011110001 1110101000 => 1011111101 1110110000 => 1011100101 1111000010 => 1010010111 1111000100 => 1010010001 1111001000 => 1010011101 1111010000 => 1010000101 1111100000 => 1010110101 101010101010 => 111111111111 101010101100 => 111111111001 101010110010 => 111111100111 101010110100 => 111111100001 101010111000 => 111111101101 101011001010 => 111110011111 101011001100 => 111110011001 101011010010 => 111110000111 101011010100 => 111110000001 101011011000 => 111110001101 101011100010 => 111110110111 101011100100 => 111110110001 101011101000 => 111110111101 101011110000 => 111110100101 101100101010 => 111001111111 101100101100 => 111001111001 101100110010 => 111001100111 101100110100 => 111001100001 101100111000 => 111001101101 101101001010 => 111000011111 101101001100 => 111000011001 101101010010 => 111000000111 101101010100 => 111000000001 101101011000 => 111000001101 101101100010 => 111000110111 101101100100 => 111000110001 101101101000 => 111000111101 101101110000 => 111000100101 101110001010 => 111011011111 101110001100 => 111011011001 101110010010 => 111011000111 101110010100 => 111011000001 101110011000 => 111011001101 101110100010 => 111011110111 101110100100 => 111011110001 101110101000 => 111011111101 101110110000 => 111011100101 101111000010 => 111010010111 101111000100 => 111010010001 101111001000 => 111010011101 101111010000 => 111010000101 101111100000 => 111010110101 110010101010 => 100111111111 110010101100 => 100111111001 110010110010 => 100111100111 110010110100 => 100111100001 110010111000 => 100111101101 110011001010 => 100110011111 110011001100 => 100110011001 110011010010 => 100110000111 110011010100 => 100110000001 110011011000 => 100110001101 110011100010 => 100110110111 110011100100 => 100110110001 110011101000 => 100110111101 110011110000 => 100110100101 110100101010 => 100001111111 110100101100 => 100001111001 110100110010 => 100001100111 110100110100 => 100001100001 110100111000 => 100001101101 110101001010 => 100000011111 110101001100 => 100000011001 110101010010 => 100000000111 110101010100 => 100000000001 110101011000 => 100000001101 110101100010 => 100000110111 110101100100 => 100000110001 110101101000 => 100000111101 110101110000 => 100000100101 110110001010 => 100011011111 110110001100 => 100011011001 110110010010 => 100011000111 110110010100 => 100011000001 110110011000 => 100011001101 110110100010 => 100011110111 110110100100 => 100011110001 110110101000 => 100011111101 110110110000 => 100011100101 110111000010 => 100010010111 110111000100 => 100010010001 110111001000 => 100010011101 110111010000 => 100010000101 110111100000 => 100010110101 111000101010 => 101101111111 111000101100 => 101101111001 111000110010 => 101101100111 111000110100 => 101101100001 111000111000 => 101101101101 111001001010 => 101100011111 111001001100 => 101100011001 111001010010 => 101100000111 111001010100 => 101100000001 111001011000 => 101100001101 111001100010 => 101100110111 111001100100 => 101100110001 111001101000 => 101100111101 111001110000 => 101100100101 111010001010 => 101111011111 111010001100 => 101111011001 111010010010 => 101111000111 111010010100 => 101111000001 111010011000 => 101111001101 111010100010 => 101111110111 111010100100 => 101111110001 111010101000 => 101111111101 111010110000 => 101111100101 111011000010 => 101110010111 111011000100 => 101110010001 111011001000 => 101110011101 111011010000 => 101110000101 111011100000 => 101110110101 111100001010 => 101001011111 111100001100 => 101001011001 111100010010 => 101001000111 111100010100 => 101001000001 111100011000 => 101001001101 111100100010 => 101001110111 111100100100 => 101001110001 111100101000 => 101001111101 111100110000 => 101001100101 111101000010 => 101000010111 111101000100 => 101000010001 111101001000 => 101000011101 111101010000 => 101000000101 111101100000 => 101000110101 111110000010 => 101011010111 111110000100 => 101011010001 111110001000 => 101011011101 111110010000 => 101011000101 111110100000 => 101011110101 111111000000 => 101010010101 10101010101010 => 11111111111111 10101010101100 => 11111111111001 10101010110010 => 11111111100111 10101010110100 => 11111111100001 ----------------------------------------------------------------------------- Created: Sep 04, 2020 at 16:31 by Christian Stump ----------------------------------------------------------------------------- Last Updated: Sep 04, 2020 at 16:31 by Christian Stump