************************************************************************ * www.FindStat.org - The Combinatorial Statistic Finder * * * * Copyright (C) 2013 The FindStatCrew * * * * This information is distributed in the hope that it will be * * useful, but WITHOUT ANY WARRANTY; without even the implied * * warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. * ************************************************************************ ------------------------------------------------------------------------ Map identifier: Mp00135 ------------------------------------------------------------------------ Map name: rotate front-to-back ------------------------------------------------------------------------ Domain: Binary words ------------------------------------------------------------------------ Codomain: Binary words ------------------------------------------------------------------------ Description: The rotation of a binary word, first letter last. This is the word obtained by moving the first letter to the end. ------------------------------------------------------------------------ References: ------------------------------------------------------------------------ Code: def mapping(w): return Word([int(l) for l in w[1:]] + [int(w[0])], [0,1]) ------------------------------------------------------------------------ Map images: 0 => 0 1 => 1 00 => 00 01 => 10 10 => 01 11 => 11 000 => 000 001 => 010 010 => 100 011 => 110 100 => 001 101 => 011 110 => 101 111 => 111 0000 => 0000 0001 => 0010 0010 => 0100 0011 => 0110 0100 => 1000 0101 => 1010 0110 => 1100 0111 => 1110 1000 => 0001 1001 => 0011 1010 => 0101 1011 => 0111 1100 => 1001 1101 => 1011 1110 => 1101 1111 => 1111 00000 => 00000 00001 => 00010 00010 => 00100 00011 => 00110 00100 => 01000 00101 => 01010 00110 => 01100 00111 => 01110 01000 => 10000 01001 => 10010 01010 => 10100 01011 => 10110 01100 => 11000 01101 => 11010 01110 => 11100 01111 => 11110 10000 => 00001 10001 => 00011 10010 => 00101 10011 => 00111 10100 => 01001 10101 => 01011 10110 => 01101 10111 => 01111 11000 => 10001 11001 => 10011 11010 => 10101 11011 => 10111 11100 => 11001 11101 => 11011 11110 => 11101 11111 => 11111 000000 => 000000 000001 => 000010 000010 => 000100 000011 => 000110 000100 => 001000 000101 => 001010 000110 => 001100 000111 => 001110 001000 => 010000 001001 => 010010 001010 => 010100 001011 => 010110 001100 => 011000 001101 => 011010 001110 => 011100 001111 => 011110 010000 => 100000 010001 => 100010 010010 => 100100 010011 => 100110 010100 => 101000 010101 => 101010 010110 => 101100 010111 => 101110 011000 => 110000 011001 => 110010 011010 => 110100 011011 => 110110 011100 => 111000 011101 => 111010 011110 => 111100 011111 => 111110 100000 => 000001 100001 => 000011 100010 => 000101 100011 => 000111 100100 => 001001 100101 => 001011 100110 => 001101 100111 => 001111 101000 => 010001 101001 => 010011 101010 => 010101 101011 => 010111 101100 => 011001 101101 => 011011 101110 => 011101 101111 => 011111 110000 => 100001 110001 => 100011 110010 => 100101 110011 => 100111 110100 => 101001 110101 => 101011 110110 => 101101 110111 => 101111 111000 => 110001 111001 => 110011 111010 => 110101 111011 => 110111 111100 => 111001 111101 => 111011 111110 => 111101 111111 => 111111 0000000 => 0000000 0000001 => 0000010 0000010 => 0000100 0000011 => 0000110 0000100 => 0001000 0000101 => 0001010 0000110 => 0001100 0000111 => 0001110 0001000 => 0010000 0001001 => 0010010 0001010 => 0010100 0001011 => 0010110 0001100 => 0011000 0001101 => 0011010 0001110 => 0011100 0001111 => 0011110 0010000 => 0100000 0010001 => 0100010 0010010 => 0100100 0010011 => 0100110 0010100 => 0101000 0010101 => 0101010 0010110 => 0101100 0010111 => 0101110 0011000 => 0110000 0011001 => 0110010 0011010 => 0110100 0011011 => 0110110 0011100 => 0111000 0011101 => 0111010 0011110 => 0111100 0011111 => 0111110 0100000 => 1000000 0100001 => 1000010 0100010 => 1000100 0100011 => 1000110 0100100 => 1001000 0100101 => 1001010 0100110 => 1001100 0100111 => 1001110 0101000 => 1010000 0101001 => 1010010 0101010 => 1010100 0101011 => 1010110 0101100 => 1011000 0101101 => 1011010 0101110 => 1011100 0101111 => 1011110 0110000 => 1100000 0110001 => 1100010 0110010 => 1100100 0110011 => 1100110 0110100 => 1101000 0110101 => 1101010 0110110 => 1101100 0110111 => 1101110 0111000 => 1110000 0111001 => 1110010 0111010 => 1110100 0111011 => 1110110 0111100 => 1111000 0111101 => 1111010 0111110 => 1111100 0111111 => 1111110 1000000 => 0000001 1000001 => 0000011 1000010 => 0000101 1000011 => 0000111 1000100 => 0001001 1000101 => 0001011 1000110 => 0001101 1000111 => 0001111 1001000 => 0010001 1001001 => 0010011 1001010 => 0010101 1001011 => 0010111 1001100 => 0011001 1001101 => 0011011 1001110 => 0011101 1001111 => 0011111 1010000 => 0100001 1010001 => 0100011 1010010 => 0100101 1010011 => 0100111 1010100 => 0101001 1010101 => 0101011 1010110 => 0101101 1010111 => 0101111 1011000 => 0110001 1011001 => 0110011 1011010 => 0110101 1011011 => 0110111 1011100 => 0111001 1011101 => 0111011 1011110 => 0111101 1011111 => 0111111 1100000 => 1000001 1100001 => 1000011 1100010 => 1000101 1100011 => 1000111 1100100 => 1001001 1100101 => 1001011 1100110 => 1001101 1100111 => 1001111 1101000 => 1010001 1101001 => 1010011 1101010 => 1010101 1101011 => 1010111 1101100 => 1011001 1101101 => 1011011 1101110 => 1011101 1101111 => 1011111 1110000 => 1100001 1110001 => 1100011 1110010 => 1100101 1110011 => 1100111 1110100 => 1101001 1110101 => 1101011 1110110 => 1101101 1110111 => 1101111 1111000 => 1110001 1111001 => 1110011 1111010 => 1110101 1111011 => 1110111 1111100 => 1111001 1111101 => 1111011 1111110 => 1111101 1111111 => 1111111 00000000 => 00000000 00000001 => 00000010 00000010 => 00000100 00000011 => 00000110 00000100 => 00001000 00000101 => 00001010 00000110 => 00001100 00000111 => 00001110 00001000 => 00010000 00001001 => 00010010 00001010 => 00010100 00001011 => 00010110 00001100 => 00011000 00001101 => 00011010 00001110 => 00011100 00001111 => 00011110 00010000 => 00100000 00010001 => 00100010 00010010 => 00100100 00010011 => 00100110 00010100 => 00101000 00010101 => 00101010 00010110 => 00101100 00010111 => 00101110 00011000 => 00110000 00011001 => 00110010 00011010 => 00110100 00011011 => 00110110 00011100 => 00111000 00011101 => 00111010 00011110 => 00111100 00011111 => 00111110 00100000 => 01000000 00100001 => 01000010 00100010 => 01000100 00100011 => 01000110 00100100 => 01001000 00100101 => 01001010 00100110 => 01001100 00100111 => 01001110 00101000 => 01010000 00101001 => 01010010 00101010 => 01010100 00101011 => 01010110 00101100 => 01011000 00101101 => 01011010 00101110 => 01011100 00101111 => 01011110 00110000 => 01100000 00110001 => 01100010 00110010 => 01100100 00110011 => 01100110 00110100 => 01101000 00110101 => 01101010 00110110 => 01101100 00110111 => 01101110 00111000 => 01110000 00111001 => 01110010 00111010 => 01110100 00111011 => 01110110 00111100 => 01111000 00111101 => 01111010 00111110 => 01111100 00111111 => 01111110 01000000 => 10000000 01000001 => 10000010 01000010 => 10000100 01000011 => 10000110 01000100 => 10001000 01000101 => 10001010 01000110 => 10001100 01000111 => 10001110 01001000 => 10010000 01001001 => 10010010 01001010 => 10010100 01001011 => 10010110 01001100 => 10011000 01001101 => 10011010 01001110 => 10011100 01001111 => 10011110 01010000 => 10100000 01010001 => 10100010 01010010 => 10100100 01010011 => 10100110 01010100 => 10101000 01010101 => 10101010 01010110 => 10101100 01010111 => 10101110 01011000 => 10110000 01011001 => 10110010 01011010 => 10110100 01011011 => 10110110 01011100 => 10111000 01011101 => 10111010 01011110 => 10111100 01011111 => 10111110 01100000 => 11000000 01100001 => 11000010 01100010 => 11000100 01100011 => 11000110 01100100 => 11001000 01100101 => 11001010 01100110 => 11001100 01100111 => 11001110 01101000 => 11010000 01101001 => 11010010 01101010 => 11010100 01101011 => 11010110 01101100 => 11011000 01101101 => 11011010 01101110 => 11011100 01101111 => 11011110 01110000 => 11100000 01110001 => 11100010 01110010 => 11100100 01110011 => 11100110 01110100 => 11101000 01110101 => 11101010 01110110 => 11101100 01110111 => 11101110 01111000 => 11110000 01111001 => 11110010 01111010 => 11110100 01111011 => 11110110 01111100 => 11111000 01111101 => 11111010 01111110 => 11111100 01111111 => 11111110 10000000 => 00000001 10000001 => 00000011 10000010 => 00000101 10000011 => 00000111 10000100 => 00001001 10000101 => 00001011 10000110 => 00001101 10000111 => 00001111 10001000 => 00010001 10001001 => 00010011 10001010 => 00010101 10001011 => 00010111 10001100 => 00011001 10001101 => 00011011 10001110 => 00011101 10001111 => 00011111 10010000 => 00100001 10010001 => 00100011 10010010 => 00100101 10010011 => 00100111 10010100 => 00101001 10010101 => 00101011 10010110 => 00101101 10010111 => 00101111 10011000 => 00110001 10011001 => 00110011 10011010 => 00110101 10011011 => 00110111 10011100 => 00111001 10011101 => 00111011 10011110 => 00111101 10011111 => 00111111 10100000 => 01000001 10100001 => 01000011 10100010 => 01000101 10100011 => 01000111 10100100 => 01001001 10100101 => 01001011 10100110 => 01001101 10100111 => 01001111 10101000 => 01010001 10101001 => 01010011 10101010 => 01010101 10101011 => 01010111 10101100 => 01011001 10101101 => 01011011 10101110 => 01011101 10101111 => 01011111 10110000 => 01100001 10110001 => 01100011 10110010 => 01100101 10110011 => 01100111 10110100 => 01101001 10110101 => 01101011 10110110 => 01101101 10110111 => 01101111 10111000 => 01110001 10111001 => 01110011 10111010 => 01110101 10111011 => 01110111 10111100 => 01111001 10111101 => 01111011 10111110 => 01111101 10111111 => 01111111 11000000 => 10000001 11000001 => 10000011 11000010 => 10000101 11000011 => 10000111 11000100 => 10001001 11000101 => 10001011 11000110 => 10001101 11000111 => 10001111 11001000 => 10010001 11001001 => 10010011 11001010 => 10010101 11001011 => 10010111 11001100 => 10011001 11001101 => 10011011 11001110 => 10011101 11001111 => 10011111 11010000 => 10100001 11010001 => 10100011 11010010 => 10100101 11010011 => 10100111 11010100 => 10101001 11010101 => 10101011 11010110 => 10101101 11010111 => 10101111 11011000 => 10110001 11011001 => 10110011 11011010 => 10110101 11011011 => 10110111 11011100 => 10111001 11011101 => 10111011 11011110 => 10111101 11011111 => 10111111 11100000 => 11000001 11100001 => 11000011 11100010 => 11000101 11100011 => 11000111 11100100 => 11001001 11100101 => 11001011 11100110 => 11001101 11100111 => 11001111 11101000 => 11010001 11101001 => 11010011 11101010 => 11010101 11101011 => 11010111 11101100 => 11011001 11101101 => 11011011 11101110 => 11011101 11101111 => 11011111 11110000 => 11100001 11110001 => 11100011 11110010 => 11100101 11110011 => 11100111 11110100 => 11101001 11110101 => 11101011 11110110 => 11101101 11110111 => 11101111 11111000 => 11110001 11111001 => 11110011 11111010 => 11110101 11111011 => 11110111 11111100 => 11111001 11111101 => 11111011 11111110 => 11111101 11111111 => 11111111 000000000 => 000000000 000000001 => 000000010 000000010 => 000000100 000000011 => 000000110 000000100 => 000001000 000000101 => 000001010 000000110 => 000001100 000000111 => 000001110 000001000 => 000010000 000001001 => 000010010 000001010 => 000010100 000001011 => 000010110 000001100 => 000011000 000001101 => 000011010 000001110 => 000011100 000001111 => 000011110 000010000 => 000100000 000010001 => 000100010 000010010 => 000100100 000010011 => 000100110 000010100 => 000101000 000010101 => 000101010 000010110 => 000101100 000010111 => 000101110 000011000 => 000110000 000011001 => 000110010 000011010 => 000110100 000011011 => 000110110 000011100 => 000111000 000011101 => 000111010 000011110 => 000111100 000011111 => 000111110 000100000 => 001000000 000100001 => 001000010 000100010 => 001000100 000100011 => 001000110 000100100 => 001001000 000100101 => 001001010 000100110 => 001001100 000100111 => 001001110 000101000 => 001010000 000101001 => 001010010 000101010 => 001010100 000101011 => 001010110 000101100 => 001011000 000101101 => 001011010 000101110 => 001011100 000101111 => 001011110 000110000 => 001100000 000110001 => 001100010 000110010 => 001100100 000110011 => 001100110 000110100 => 001101000 000110101 => 001101010 000110110 => 001101100 000110111 => 001101110 000111000 => 001110000 000111001 => 001110010 000111010 => 001110100 000111011 => 001110110 000111100 => 001111000 000111101 => 001111010 000111110 => 001111100 000111111 => 001111110 001000000 => 010000000 001000001 => 010000010 001000010 => 010000100 001000011 => 010000110 001000100 => 010001000 001000101 => 010001010 001000110 => 010001100 001000111 => 010001110 001001000 => 010010000 001001001 => 010010010 001001010 => 010010100 001001011 => 010010110 001001100 => 010011000 001001101 => 010011010 001001110 => 010011100 001001111 => 010011110 001010000 => 010100000 001010001 => 010100010 001010010 => 010100100 001010011 => 010100110 001010100 => 010101000 001010101 => 010101010 001010110 => 010101100 001010111 => 010101110 001011000 => 010110000 001011001 => 010110010 001011010 => 010110100 001011011 => 010110110 001011100 => 010111000 001011101 => 010111010 001011110 => 010111100 001011111 => 010111110 001100000 => 011000000 001100001 => 011000010 001100010 => 011000100 001100011 => 011000110 001100100 => 011001000 001100101 => 011001010 001100110 => 011001100 001100111 => 011001110 001101000 => 011010000 001101001 => 011010010 001101010 => 011010100 001101011 => 011010110 001101100 => 011011000 001101101 => 011011010 001101110 => 011011100 001101111 => 011011110 001110000 => 011100000 001110001 => 011100010 001110010 => 011100100 001110011 => 011100110 001110100 => 011101000 001110101 => 011101010 001110110 => 011101100 001110111 => 011101110 001111000 => 011110000 001111001 => 011110010 001111010 => 011110100 001111011 => 011110110 001111100 => 011111000 001111101 => 011111010 001111110 => 011111100 001111111 => 011111110 010000000 => 100000000 010000001 => 100000010 010000010 => 100000100 010000011 => 100000110 010000100 => 100001000 010000101 => 100001010 010000110 => 100001100 010000111 => 100001110 010001000 => 100010000 010001001 => 100010010 010001010 => 100010100 010001011 => 100010110 010001100 => 100011000 010001101 => 100011010 010001110 => 100011100 010001111 => 100011110 010010000 => 100100000 010010001 => 100100010 010010010 => 100100100 010010011 => 100100110 010010100 => 100101000 010010101 => 100101010 010010110 => 100101100 010010111 => 100101110 010011000 => 100110000 010011001 => 100110010 010011010 => 100110100 010011011 => 100110110 010011100 => 100111000 010011101 => 100111010 010011110 => 100111100 010011111 => 100111110 010100000 => 101000000 010100001 => 101000010 010100010 => 101000100 010100011 => 101000110 010100100 => 101001000 010100101 => 101001010 010100110 => 101001100 010100111 => 101001110 010101000 => 101010000 010101001 => 101010010 010101010 => 101010100 010101011 => 101010110 010101100 => 101011000 010101101 => 101011010 010101110 => 101011100 010101111 => 101011110 010110000 => 101100000 010110001 => 101100010 010110010 => 101100100 010110011 => 101100110 010110100 => 101101000 010110101 => 101101010 010110110 => 101101100 010110111 => 101101110 010111000 => 101110000 010111001 => 101110010 010111010 => 101110100 010111011 => 101110110 010111100 => 101111000 010111101 => 101111010 010111110 => 101111100 010111111 => 101111110 011000000 => 110000000 011000001 => 110000010 011000010 => 110000100 011000011 => 110000110 011000100 => 110001000 011000101 => 110001010 011000110 => 110001100 011000111 => 110001110 011001000 => 110010000 011001001 => 110010010 011001010 => 110010100 011001011 => 110010110 011001100 => 110011000 011001101 => 110011010 011001110 => 110011100 011001111 => 110011110 011010000 => 110100000 011010001 => 110100010 011010010 => 110100100 011010011 => 110100110 011010100 => 110101000 011010101 => 110101010 011010110 => 110101100 011010111 => 110101110 011011000 => 110110000 011011001 => 110110010 011011010 => 110110100 011011011 => 110110110 011011100 => 110111000 011011101 => 110111010 011011110 => 110111100 011011111 => 110111110 011100000 => 111000000 011100001 => 111000010 011100010 => 111000100 011100011 => 111000110 011100100 => 111001000 011100101 => 111001010 011100110 => 111001100 011100111 => 111001110 011101000 => 111010000 011101001 => 111010010 011101010 => 111010100 011101011 => 111010110 011101100 => 111011000 011101101 => 111011010 011101110 => 111011100 011101111 => 111011110 011110000 => 111100000 011110001 => 111100010 011110010 => 111100100 011110011 => 111100110 011110100 => 111101000 011110101 => 111101010 011110110 => 111101100 011110111 => 111101110 011111000 => 111110000 011111001 => 111110010 011111010 => 111110100 011111011 => 111110110 011111100 => 111111000 011111101 => 111111010 011111110 => 111111100 011111111 => 111111110 100000000 => 000000001 100000001 => 000000011 100000010 => 000000101 100000011 => 000000111 100000100 => 000001001 100000101 => 000001011 100000110 => 000001101 100000111 => 000001111 100001000 => 000010001 100001001 => 000010011 100001010 => 000010101 100001011 => 000010111 100001100 => 000011001 100001101 => 000011011 100001110 => 000011101 100001111 => 000011111 100010000 => 000100001 100010001 => 000100011 100010010 => 000100101 100010011 => 000100111 100010100 => 000101001 100010101 => 000101011 100010110 => 000101101 100010111 => 000101111 100011000 => 000110001 100011001 => 000110011 100011010 => 000110101 100011011 => 000110111 100011100 => 000111001 100011101 => 000111011 100011110 => 000111101 100011111 => 000111111 100100000 => 001000001 100100001 => 001000011 100100010 => 001000101 100100011 => 001000111 100100100 => 001001001 100100101 => 001001011 100100110 => 001001101 100100111 => 001001111 100101000 => 001010001 100101001 => 001010011 100101010 => 001010101 100101011 => 001010111 100101100 => 001011001 100101101 => 001011011 100101110 => 001011101 100101111 => 001011111 100110000 => 001100001 100110001 => 001100011 100110010 => 001100101 100110011 => 001100111 100110100 => 001101001 100110101 => 001101011 100110110 => 001101101 100110111 => 001101111 100111000 => 001110001 100111001 => 001110011 100111010 => 001110101 100111011 => 001110111 100111100 => 001111001 100111101 => 001111011 100111110 => 001111101 100111111 => 001111111 101000000 => 010000001 101000001 => 010000011 101000010 => 010000101 101000011 => 010000111 101000100 => 010001001 101000101 => 010001011 101000110 => 010001101 101000111 => 010001111 101001000 => 010010001 101001001 => 010010011 101001010 => 010010101 101001011 => 010010111 101001100 => 010011001 101001101 => 010011011 101001110 => 010011101 101001111 => 010011111 101010000 => 010100001 101010001 => 010100011 101010010 => 010100101 101010011 => 010100111 101010100 => 010101001 101010101 => 010101011 101010110 => 010101101 101010111 => 010101111 101011000 => 010110001 101011001 => 010110011 101011010 => 010110101 101011011 => 010110111 101011100 => 010111001 101011101 => 010111011 101011110 => 010111101 101011111 => 010111111 101100000 => 011000001 101100001 => 011000011 101100010 => 011000101 101100011 => 011000111 101100100 => 011001001 101100101 => 011001011 101100110 => 011001101 101100111 => 011001111 101101000 => 011010001 101101001 => 011010011 101101010 => 011010101 101101011 => 011010111 101101100 => 011011001 101101101 => 011011011 101101110 => 011011101 101101111 => 011011111 101110000 => 011100001 101110001 => 011100011 101110010 => 011100101 101110011 => 011100111 101110100 => 011101001 101110101 => 011101011 101110110 => 011101101 101110111 => 011101111 101111000 => 011110001 101111001 => 011110011 101111010 => 011110101 101111011 => 011110111 101111100 => 011111001 101111101 => 011111011 101111110 => 011111101 101111111 => 011111111 110000000 => 100000001 110000001 => 100000011 110000010 => 100000101 110000011 => 100000111 110000100 => 100001001 110000101 => 100001011 110000110 => 100001101 110000111 => 100001111 110001000 => 100010001 110001001 => 100010011 110001010 => 100010101 110001011 => 100010111 110001100 => 100011001 110001101 => 100011011 110001110 => 100011101 110001111 => 100011111 110010000 => 100100001 110010001 => 100100011 110010010 => 100100101 110010011 => 100100111 110010100 => 100101001 110010101 => 100101011 110010110 => 100101101 110010111 => 100101111 110011000 => 100110001 110011001 => 100110011 110011010 => 100110101 110011011 => 100110111 110011100 => 100111001 110011101 => 100111011 110011110 => 100111101 110011111 => 100111111 110100000 => 101000001 110100001 => 101000011 110100010 => 101000101 110100011 => 101000111 110100100 => 101001001 110100101 => 101001011 110100110 => 101001101 110100111 => 101001111 110101000 => 101010001 110101001 => 101010011 110101010 => 101010101 110101011 => 101010111 110101100 => 101011001 110101101 => 101011011 110101110 => 101011101 110101111 => 101011111 110110000 => 101100001 110110001 => 101100011 110110010 => 101100101 110110011 => 101100111 110110100 => 101101001 110110101 => 101101011 110110110 => 101101101 110110111 => 101101111 110111000 => 101110001 110111001 => 101110011 110111010 => 101110101 110111011 => 101110111 110111100 => 101111001 110111101 => 101111011 110111110 => 101111101 110111111 => 101111111 111000000 => 110000001 111000001 => 110000011 111000010 => 110000101 111000011 => 110000111 111000100 => 110001001 111000101 => 110001011 111000110 => 110001101 111000111 => 110001111 111001000 => 110010001 111001001 => 110010011 111001010 => 110010101 111001011 => 110010111 111001100 => 110011001 111001101 => 110011011 111001110 => 110011101 111001111 => 110011111 111010000 => 110100001 111010001 => 110100011 111010010 => 110100101 111010011 => 110100111 111010100 => 110101001 111010101 => 110101011 111010110 => 110101101 111010111 => 110101111 111011000 => 110110001 111011001 => 110110011 111011010 => 110110101 111011011 => 110110111 111011100 => 110111001 111011101 => 110111011 111011110 => 110111101 111011111 => 110111111 111100000 => 111000001 111100001 => 111000011 111100010 => 111000101 111100011 => 111000111 111100100 => 111001001 111100101 => 111001011 111100110 => 111001101 111100111 => 111001111 111101000 => 111010001 111101001 => 111010011 111101010 => 111010101 111101011 => 111010111 111101100 => 111011001 111101101 => 111011011 111101110 => 111011101 111101111 => 111011111 111110000 => 111100001 111110001 => 111100011 111110010 => 111100101 111110011 => 111100111 111110100 => 111101001 111110101 => 111101011 111110110 => 111101101 111110111 => 111101111 111111000 => 111110001 111111001 => 111110011 111111010 => 111110101 111111011 => 111110111 111111100 => 111111001 111111101 => 111111011 111111110 => 111111101 111111111 => 111111111 1010101010 => 0101010101 1010101100 => 0101011001 1010110010 => 0101100101 1010110100 => 0101101001 1010111000 => 0101110001 1011001010 => 0110010101 1011001100 => 0110011001 1011010010 => 0110100101 1011010100 => 0110101001 1011011000 => 0110110001 1011100010 => 0111000101 1011100100 => 0111001001 1011101000 => 0111010001 1011110000 => 0111100001 1100101010 => 1001010101 1100101100 => 1001011001 1100110010 => 1001100101 1100110100 => 1001101001 1100111000 => 1001110001 1101001010 => 1010010101 1101001100 => 1010011001 1101010010 => 1010100101 1101010100 => 1010101001 1101011000 => 1010110001 1101100010 => 1011000101 1101100100 => 1011001001 1101101000 => 1011010001 1101110000 => 1011100001 1110001010 => 1100010101 1110001100 => 1100011001 1110010010 => 1100100101 1110010100 => 1100101001 1110011000 => 1100110001 1110100010 => 1101000101 1110100100 => 1101001001 1110101000 => 1101010001 1110110000 => 1101100001 1111000010 => 1110000101 1111000100 => 1110001001 1111001000 => 1110010001 1111010000 => 1110100001 1111100000 => 1111000001 101010101010 => 010101010101 101010101100 => 010101011001 101010110010 => 010101100101 101010110100 => 010101101001 101010111000 => 010101110001 101011001010 => 010110010101 101011001100 => 010110011001 101011010010 => 010110100101 101011010100 => 010110101001 101011011000 => 010110110001 101011100010 => 010111000101 101011100100 => 010111001001 101011101000 => 010111010001 101011110000 => 010111100001 101100101010 => 011001010101 101100101100 => 011001011001 101100110010 => 011001100101 101100110100 => 011001101001 101100111000 => 011001110001 101101001010 => 011010010101 101101001100 => 011010011001 101101010010 => 011010100101 101101010100 => 011010101001 101101011000 => 011010110001 101101100010 => 011011000101 101101100100 => 011011001001 101101101000 => 011011010001 101101110000 => 011011100001 101110001010 => 011100010101 101110001100 => 011100011001 101110010010 => 011100100101 101110010100 => 011100101001 101110011000 => 011100110001 101110100010 => 011101000101 101110100100 => 011101001001 101110101000 => 011101010001 101110110000 => 011101100001 101111000010 => 011110000101 101111000100 => 011110001001 101111001000 => 011110010001 101111010000 => 011110100001 101111100000 => 011111000001 110010101010 => 100101010101 110010101100 => 100101011001 110010110010 => 100101100101 110010110100 => 100101101001 110010111000 => 100101110001 110011001010 => 100110010101 110011001100 => 100110011001 110011010010 => 100110100101 110011010100 => 100110101001 110011011000 => 100110110001 110011100010 => 100111000101 110011100100 => 100111001001 110011101000 => 100111010001 110011110000 => 100111100001 110100101010 => 101001010101 110100101100 => 101001011001 110100110010 => 101001100101 110100110100 => 101001101001 110100111000 => 101001110001 110101001010 => 101010010101 110101001100 => 101010011001 110101010010 => 101010100101 110101010100 => 101010101001 110101011000 => 101010110001 110101100010 => 101011000101 110101100100 => 101011001001 110101101000 => 101011010001 110101110000 => 101011100001 110110001010 => 101100010101 110110001100 => 101100011001 110110010010 => 101100100101 110110010100 => 101100101001 110110011000 => 101100110001 110110100010 => 101101000101 110110100100 => 101101001001 110110101000 => 101101010001 110110110000 => 101101100001 110111000010 => 101110000101 110111000100 => 101110001001 110111001000 => 101110010001 110111010000 => 101110100001 110111100000 => 101111000001 111000101010 => 110001010101 111000101100 => 110001011001 111000110010 => 110001100101 111000110100 => 110001101001 111000111000 => 110001110001 111001001010 => 110010010101 111001001100 => 110010011001 111001010010 => 110010100101 111001010100 => 110010101001 111001011000 => 110010110001 111001100010 => 110011000101 111001100100 => 110011001001 111001101000 => 110011010001 111001110000 => 110011100001 111010001010 => 110100010101 111010001100 => 110100011001 111010010010 => 110100100101 111010010100 => 110100101001 111010011000 => 110100110001 111010100010 => 110101000101 111010100100 => 110101001001 111010101000 => 110101010001 111010110000 => 110101100001 111011000010 => 110110000101 111011000100 => 110110001001 111011001000 => 110110010001 111011010000 => 110110100001 111011100000 => 110111000001 111100001010 => 111000010101 111100001100 => 111000011001 111100010010 => 111000100101 111100010100 => 111000101001 111100011000 => 111000110001 111100100010 => 111001000101 111100100100 => 111001001001 111100101000 => 111001010001 111100110000 => 111001100001 111101000010 => 111010000101 111101000100 => 111010001001 111101001000 => 111010010001 111101010000 => 111010100001 111101100000 => 111011000001 111110000010 => 111100000101 111110000100 => 111100001001 111110001000 => 111100010001 111110010000 => 111100100001 111110100000 => 111101000001 111111000000 => 111110000001 10101010101010 => 01010101010101 10101010101100 => 01010101011001 10101010110010 => 01010101100101 10101010110100 => 01010101101001 ----------------------------------------------------------------------------- Created: Jan 29, 2020 at 13:27 by FindStatCrew ----------------------------------------------------------------------------- Last Updated: Jan 29, 2020 at 13:27 by Martin Rubey